4.3BSD/usr/contrib/icon/test/std45.icn

record array(a,b,c,d,e,f,g)

procedure dummy(u,v,x,y,z)
   suspend u | v
   return x
end

procedure main()
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s ++:= \"x\" ----> ",image(s ++:= "x") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s ++:= \"xx\" ----> ",image(s ++:= "xx") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s ++:= \"X\" ----> ",image(s ++:= "X") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s ++:= \"abc\" ----> ",image(s ++:= "abc") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s --:= \"x\" ----> ",image(s --:= "x") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s --:= \"xx\" ----> ",image(s --:= "xx") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s --:= \"X\" ----> ",image(s --:= "X") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s --:= \"abc\" ----> ",image(s --:= "abc") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s **:= \"x\" ----> ",image(s **:= "x") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s **:= \"xx\" ----> ",image(s **:= "xx") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s **:= \"X\" ----> ",image(s **:= "X") | "none")
   write("s ----> ",image(s) | "none")
   write("s := \"x\" ----> ",image(s := "x") | "none")
   write("s **:= \"abc\" ----> ",image(s **:= "abc") | "none")
   write("s ----> ",image(s) | "none")
   write("s = 0 ----> ",image(s = 0) | "none")
end