[pups] Eh...

Andy Valencia vandys at zendo.com
Mon Jul 24 00:05:19 AEST 2000


I should mention that "at rl0 ..." also doesn't work:

sim> at rl0 /users/vandys/tmp/v7/v7_rl02_1145
sim> b rl0
@/
@/

HALT instruction, PC: 000002 (HALT)
sim>

Received: (from major at localhost)
	by minnie.cs.adfa.edu.au (8.9.3/8.9.3) id IAA64000
	for pups-liszt; Mon, 24 Jul 2000 08:06:36 +1000 (EST)
	(envelope-from owner-pups at minnie.cs.adfa.edu.au)


More information about the TUHS mailing list